用戶名: 密碼: 驗證碼:

AI大模型時代來臨 重構智駕產業(yè)新生態(tài)

摘要:“新四化”帶來新機遇,汽車行業(yè)正在經歷前所未有的變化和發(fā)展。未來的汽車將不再只是簡單的交通工具,而是具備高度智能化、自動化和安全性的高科技產品。這為我國汽車及相關產業(yè)鏈實現(xiàn)彎道超車提供了難得的發(fā)展機遇。蓬勃發(fā)展的智駕市場及軟件定義汽車的大趨勢,對汽車芯片行業(yè)提出越來越高要求,汽車芯片將不再局限于安全、穩(wěn)定的成熟工藝,其對高性能、高價值的先進工藝芯片需求也越來越多,隨之伴生的是對芯片設計及EDA驗證等全鏈條工具的更高要求。

  ICC訊 “新四化”帶來新機遇,汽車行業(yè)正在經歷前所未有的變化和發(fā)展。未來的汽車將不再只是簡單的交通工具,而是具備高度智能化、自動化和安全性的高科技產品。這為我國汽車及相關產業(yè)鏈實現(xiàn)彎道超車提供了難得的發(fā)展機遇。新機遇也伴隨新挑戰(zhàn),智能汽車并非一蹴而就。它是建立在汽車工業(yè)軟件、車規(guī)芯片等為基礎的產業(yè)鏈之上的。蓬勃發(fā)展的智駕市場及軟件定義汽車的大趨勢,對汽車芯片行業(yè)提出越來越高要求,汽車芯片將不再局限于安全、穩(wěn)定的成熟工藝,其對高性能、高價值的先進工藝芯片需求也越來越多,隨之伴生的是對芯片設計及EDA驗證等全鏈條工具的更高要求。

  從這種意義上看,中國智駕產業(yè)的基礎仍然十分薄弱,盡管中國的新能源汽車已經賣向全球,但以高端汽車芯片為代表的上游產業(yè)仍然基本壟斷在海外廠商手中。中國智駕產業(yè)要想獲得真正的自主可控,就需要整個產業(yè)鏈企業(yè)共同發(fā)力,強化汽車芯片、EDA工業(yè)軟件等軟硬件廠商間的協(xié)同配合,打造融合、統(tǒng)一的底層技術平臺。

  智能駕駛“跨越”寒冬

  去年,以獨角獸Argo AI公司倒閉為代表,智能駕駛一度進入寒冬,但也讓一些有著長遠謀劃的企業(yè)沉下心來,腳踏實地搞技術、做產品。今年,隨著人工智能、半導體等基礎技術取得進步,智能駕駛賽道正在迎來新的拐點。其實,智駕行業(yè)雖然整體遇冷,各細分場景依然向前推進。以礦山為例,2022年華為煤礦軍團正式推出了面向露天礦山的無人駕駛端到端全自研解決方案,并成功實現(xiàn)商用部署和實施。分析機構預測,2025年露天礦山自動駕駛市場規(guī)??蛇_200億元,潛在市場空間近3000億元。

  在乘用車方面,繼梅賽德斯-奔馳的L3級自動駕駛系統(tǒng)分別在德國和美國獲得官方認證并上車后,寶馬公司正在旗下的寶馬7系上推廣L3級自動駕駛功能。IHS Markit預測,L3級自動駕駛與全自動停車、全高速自動巡航等L4級功能將于2025年在大眾市場普及。

  如果說美國方面采取的是單車智能為主發(fā)展模式,通過攝像頭、雷達等傳感器和高效算法,賦予車輛智能駕駛能力;那么,中國選擇了“車路協(xié)同”模式,越來越多國產智能駕駛正在融入由“云-網-端”構成的智能路網當中。

  11月份,工信部、公安部、住房和城鄉(xiāng)建設部、交通運輸部四部委發(fā)布聯(lián)合通知,部署開展智能網聯(lián)汽車準入和上路通行試點工作。根據工信部12月消息,截至目前,我國累計開放智能網聯(lián)汽車測試道路2萬多公里,測試示范區(qū)達17個、“雙智”試點城市達16個。從上可知,今年以來,無論國際還是國內,智駕產業(yè)正在擺脫低迷市場的影響,商業(yè)化運行已經開啟,行業(yè)發(fā)展正在迎來新階段。

  離不開的“算力芯片”

  在本輪智駕產業(yè)的發(fā)展中,由于ChatGPT熱潮席圈,人們無不對生成式AI與智駕產業(yè)的結合寄予厚望。據報道,特斯拉正在中國組建一個超過20人的本地運營團隊,推進自動駕駛解決方案FSD在中國本土的落地。百度、阿里、科大訊飛等也紛紛與車廠合作,將旗下AI大模型產品接入智能車型當中。對此,有專家指出,這實際上是一個“駕駛腦”的概念,AI大模型在接收到各種感知信息后,通過規(guī)劃和決策,以一種駕駛方式來控制車輛去避障繞彎,選擇最優(yōu)路徑,從而把乘客送達目的地。之前的自動駕駛訓練缺乏知識遷移的能力,顯得難以應對。而通過AIGC技術在智能汽車中的發(fā)展應用,可以嘗試解決這方面的復雜問題。

  值得注意的是,無論人工智能的運算還是對大數據的處理,都離不開強大芯片算力的支撐。生成式AI+智能駕駛模式使得芯片在汽車中的作用愈加明顯。根據中國汽車工業(yè)協(xié)會數據,傳統(tǒng)燃油車所需汽車芯片數量為600-700顆,電動車所需的汽車芯片數量將提升至1600顆/輛,而更高級的智能汽車對芯片的需求量有望提升至3000顆/輛。

  傳統(tǒng)上,汽車芯片主要包括功能芯片、功率器件、存儲芯片、傳感器、通信芯片等幾個大的類型。其中功能芯片負責對ECU的管理控制;功率半導體器件用于電力的轉換和管理;存儲芯片面向數據存儲;汽車傳感器與通信芯片用于感受外界信號、物理變化或者化學組成,并將檢測到的信號轉變?yōu)殡娦盘杺鬟f給其他設備。

  現(xiàn)代的汽車則越來越像一個自動行走的智能機器人和人們生產生活的“第三空間”,集“智能化、安全化、電動化、網聯(lián)化”于一身,新興并集成汽車當中的算力芯片將發(fā)揮越來越關鍵的作用,支持智能駕駛、輔助系統(tǒng)以及車內娛樂等。這類芯片一般是集成了CPU、圖像處理GPU、音頻處理DSP、深度學習加速單元NPU以及內存和各種I/O接口的SOC芯片。未來智能座艙所代表的“車載信息娛樂系統(tǒng)+流媒體后視鏡+抬頭顯示系統(tǒng)+全液晶儀表+車聯(lián)網系統(tǒng)+車內乘員監(jiān)控系統(tǒng)”等多重體驗,都將依賴于智能SoC芯片。

  此類芯片的制造工藝也不再是傳統(tǒng)成熟工藝所能支撐,而是大多采用先進工藝。如恩智浦的S32系列微處理器,從推出時的16nm工藝,路線圖已經規(guī)劃到5nm。高通發(fā)布的第四代座艙平臺,性能最高的處理器驍龍8295也將采用5nm工藝。在處理性能方面,L3級別的車端中央計算平臺算力需求已達500+TOPS,未來汽車芯片的算力需求只會更高,也需要更先進的制程工藝給予支撐。

  汽車芯片“國產替代”艱難

  提起比亞迪、華為問界……這些耳熟能詳的品牌,大家都覺得中國智能駕駛汽車產業(yè)已經非常強大。類似“國產乘用車前11個月累計銷量達1297.8萬輛,超越日德,一躍成為全球汽車出口第一大國”的報道,也令讀者產生信心。但是,從產業(yè)鏈角度考察卻會發(fā)現(xiàn),成績的背后還隱藏著巨大的隱憂。

  正如上面所述,AI大模型時代汽車芯片的作用將越來越明顯,算力芯片更是整車的運算核心。但值得注意的是,目前這些領域仍然基本掌控在海外大廠手中。英飛凌在整個汽車芯片市場以及功率半導體領域中占據領導地位,恩智浦則在汽車處理器市場上遙遙領先,意法半導體占據著最大的SiC器件和模組市場份額,瑞薩則是汽車MCU(微控制器單元)的佼佼者;在新興的算力芯片領域,更是集中了英偉達、高通、英特爾、特斯拉等巨頭,展開激烈競爭。

  去年爆發(fā)的“缺芯潮”雖然為國內芯片廠商開辟了一條切入汽車供應鏈的通道,但是要想真正發(fā)展起來,有效實現(xiàn)國產替代,卻遠不是那么容易就能做到。據了解,目前只有極少數國內廠商能夠得窺一絲門徑,如芯擎科技日前發(fā)布的“龍鷹一號”SoC在吉利領克08等數款車型上實現(xiàn)規(guī)模應用,是國內唯一實現(xiàn)7納米車規(guī)芯片量產的廠商。大部分國產芯片廠商還很難真正跨入汽車芯片這個市場。

  之所以存在這樣的情況,一方面是因為車規(guī)級芯片對質量、可靠性、使用壽命等方面具有高標準、嚴要求,導致芯片的生產開發(fā)難度更大,國內只有少數具備高水準工藝的芯片廠商可以達到這樣的要求。更關鍵的是,國內尚沒有建立起完善的車規(guī)芯片產業(yè)生態(tài)。相對于消費級、工業(yè)級芯片而言,車規(guī)芯片的標準更加嚴苛,準入門檻更高。國內要想真正發(fā)展汽車芯片產業(yè),進而打造完善的智駕產業(yè)鏈,就必需建立一個相對完善的產業(yè)生態(tài)體系,為行業(yè)提供芯片設計、工藝協(xié)同、樣片流片、測試認證等服務,進而才能以此為基礎,降低上下游協(xié)同成本,加快技術研發(fā)速度,滿足市場需求。

  獨木不成林:單點突破不如全軍突擊

  事實上,面對這樣的產業(yè)狀態(tài),汽車品牌廠商從供應鏈穩(wěn)定角度出發(fā),更加需要國產芯片能夠迅速成長起來。這既是挑戰(zhàn)也是機遇。芯擎科技戰(zhàn)略業(yè)務發(fā)展副總裁孫東就指出:“目前整個市場基本上都是由海外廠商壟斷高端智能座艙SoC,這從國產汽車的國產化需求和供應鏈多樣化上看,都是不太合理的。從客戶需求上看,他們也需要一個差異化的方案?!?

  那么,如何才能打造完善的車規(guī)芯片產業(yè)生態(tài)呢?近期發(fā)布的一則案例引起了國內車圈的廣泛關注:芯擎科技日前宣布,旗下產品開始導入芯華章車規(guī)級EDA驗證工具,以加速新一代智能駕駛芯片的開發(fā)。分析一下這則消息就會發(fā)現(xiàn),這兩家公司一家是國產高端車規(guī)芯片設計公司,在國內唯一實現(xiàn)7納米車規(guī)芯片量產;另一家是聚焦于數字驗證領域,目前唯一打造出全流程驗證工具的國產EDA公司。兩家公司的合作,為我國汽車智駕產業(yè)如何構建生態(tài)提供了一個注腳。

  很多讀者可能對EDA在汽車智能駕駛產業(yè)鏈中的發(fā)揮作用還不太熟悉。近日,由中國汽車工業(yè)協(xié)會發(fā)布的《中國汽車工業(yè)軟件發(fā)展建設白皮書》(以下簡稱《白皮書》)介紹了智能化背景下,車規(guī)級工業(yè)軟件的發(fā)展需求、標準和應用場景等。其中關于EDA的描述中,收錄了芯華章提到的核心概念“PIL處理器在環(huán)仿真”。

  面對新的應用場景,為了更好打造從芯片到主機廠的閉環(huán),EDA也需要提供系統(tǒng)級的設計驗證,才能滿足車規(guī)級開發(fā)需求。PIL融合了場景仿真和芯片仿真技術,結合整車V開發(fā)模型,從系統(tǒng)出發(fā),提供了基于場景的ECU評價體系、算法優(yōu)化解決方案,支持車規(guī)級芯片提前1-2年實現(xiàn)定點上車,并通過云場景遍歷仿真為HIL測試節(jié)省80%的時間。

  在面向城市道路、戶外越野等汽車行業(yè)諸多豐富且獨特的應用場景,需要基于特定場景,融合更快、更高性能、更安全的芯片仿真技術,如此才能有效降低芯片在整車應用過程中的風險,在確保低故障的同時,又能夠讓芯片的性能真正發(fā)揮出來。一款優(yōu)秀的場景和芯片仿真驗證工具,可以幫助解決時間、人才、工具等三方面的挑戰(zhàn),更快地進行定制化芯片的研發(fā),降低開發(fā)人才門檻,縮短開發(fā)周期,降低各項風險。

  孫東在公開場合曾經表達過對于開發(fā)周期前置的考慮,“先進工藝制程的大芯片由于其復雜度,整個開發(fā)周期一般在數年之間,因此其芯片規(guī)格的定義需要具有一定的前瞻性,特別是針對目前快速發(fā)展升級的智能汽車領域;如何準確定位到客戶未來的需要,并將其與現(xiàn)有的產品開發(fā)計劃相有效結合,在產品面世的時候能夠滿足市場的新需求并具有競爭力,這是所有做先進制程大芯片公司所共同面臨的一個挑戰(zhàn)。”

  借助芯華章車規(guī)級EDA驗證工具,芯擎科技能夠在芯片設計階段,就進行和真實使用場景一致的系統(tǒng)級軟硬件聯(lián)合仿真和調試,提升系統(tǒng)級應用環(huán)境下軟硬件協(xié)同表現(xiàn),降低芯片在整車應用過程中的風險,縮短開發(fā)周期。

  記者采訪某國內資深驗證工程師時,對方也指出:“自動駕駛技術是一個復雜的軟硬件系統(tǒng)體系,EDA作為汽車SoC和系統(tǒng)的基礎技術,除了賦能車規(guī)芯片設計外,也可以在解決其他挑戰(zhàn)方面扮演更重要的角色。特別是在系統(tǒng)級建模、場景仿真和量化分析、信息安全以及功耗優(yōu)化等四個方面,EDA可以結合自身在驗證仿真、數據處理、算法優(yōu)化等方面的優(yōu)勢,輸出具備行業(yè)特色的創(chuàng)新解決方案?!?

  強強聯(lián)合的背后是什么?

  AI大模型時代,隨著智能化水平的不斷提高,芯片和應用軟件的協(xié)同已經成為未來汽車領域實現(xiàn)產品差異化與提升用戶體驗的一個關鍵,借助強大的仿真、定義、驗證工具,EDA能夠幫助產品實現(xiàn)更好的差異化,從而為用戶提供更高性價比的產品。而要想打造更加完善高效的國產智駕產業(yè)生態(tài),就必須有效整合不同產業(yè)環(huán)節(jié),推動EDA、芯片以及其他產業(yè)鏈不同環(huán)節(jié)企業(yè)之間的相互合作,相互融合。產業(yè)生態(tài)的建設需要強強聯(lián)合,單打獨斗完不成產業(yè)鏈的融合發(fā)展。從這點來看,上述芯擎科技與芯華章的合作,具有更大的產業(yè)意義。

  值得一提的是,近年來,國產EDA力量正在持續(xù)加強在車規(guī)領域的布局,從產品到解決方案,乃至產業(yè)鏈上的合作,投入大量精力的同時,也取得豐碩成果。

  今年5月,芯華章投資汽車電子解決方案公司Optima Design Automation,這是一家華為曾選擇合作的汽車電子車規(guī)解決方案供應商。

  7月,國內模擬芯片EDA龍頭華大九天,官宣其可靠性分析工具Empyrean Polas®獲ISO 26262 TCL3和IEC 61508 T2國際標準認證證書。同時,華大九天多款數字、模擬EDA產品也即將在年內陸續(xù)通過ISO 26262 TCL3和IEC 61508 T2產品認證。

  12月,芯華章EDA工具獲ISO 26262國際標準認證,能夠支持汽車安全完整性標準最高ASIL D級別的芯片開發(fā)驗證。

  據了解,在汽車領域業(yè)內,ISO 26262是全球公認的汽車領域電氣/電子相關功能安全標準,已成為車規(guī)級芯片供應商進入汽車行業(yè)的準入門檻之一。

  新一輪汽車革命方興未艾,作為汽車制造產業(yè)大國,我國汽車產業(yè)有望在這一輪全球智能駕駛產業(yè)發(fā)展浪潮中實現(xiàn)彎道超車。這樣一個趕超式的快速發(fā)展需要更多國內細分領域崛起,然后強強聯(lián)合,出現(xiàn)更多“芯擎-芯華章”式的合作,才能共同把產業(yè)生態(tài)做強做好。

內容來自:愛集微
本文地址:http://lakesideeventsmn.com//Site/CN/News/2024/04/10/20240410075049838202.htm 轉載請保留文章出處
關鍵字:
文章標題:AI大模型時代來臨 重構智駕產業(yè)新生態(tài)
1、凡本網注明“來源:訊石光通訊網”及標有原創(chuàng)的所有作品,版權均屬于訊石光通訊網。未經允許禁止轉載、摘編及鏡像,違者必究。對于經過授權可以轉載我方內容的單位,也必須保持轉載文章、圖像、音視頻的完整性,并完整標注作者信息和本站來源。
2、免責聲明,凡本網注明“來源:XXX(非訊石光通訊網)”的作品,均為轉載自其它媒體,轉載目的在于傳遞更多信息,并不代表本網贊同其觀點和對其真實性負責。因可能存在第三方轉載無法確定原網地址,若作品內容、版權爭議和其它問題,請聯(lián)系本網,將第一時間刪除。
聯(lián)系方式:訊石光通訊網新聞中心 電話:0755-82960080-168   Right